The Extreme Ultraviolet Lithography (EUV) market is projected to reach USD 12.3 billion in 2025 and expand to USD 28.5 billion by 2035, registering a CAGR of 9.2% over the forecast period. The growth is driven by increased investments from semiconductor manufacturers and ongoing R&D to improve EUV throughput and efficiency in chip fabrication.
Key drivers include rising demand for advanced logic chips, memory devices, and AI processors, as EUV technology enables higher transistor density and improved power efficiency. Growth is further fueled by adoption among major foundries, innovations in high-NA EUV lithography, and advancements in mask infrastructure and photoresist materials.
Restraints include high equipment costs, complex infrastructure requirements, and technical challenges in scaling EUV systems for mass production. A limited supplier base and the need for skilled personnel to operate and maintain EUV tools may hinder rapid adoption, especially among smaller fabs.
Opportunities lie in expanding EUV applications in emerging AI and 5G, rising demand for sub-5nm nodes, and strategic collaborations between foundries, equipment vendors, and research bodies to accelerate the commercialization of next-generation EUV platforms.
Trends include the shift toward high-NA EUV systems, integration of AI-driven process optimization, and focus on enhancing yield and process control. Collaborative innovation and investment in EUV ecosystem infrastructure are shaping the future of semiconductor manufacturing.
Explore FMI!
Book a free demo
Between 2020 and 2024, the extreme ultraviolet (EUV) lithography market experienced rapid growth, driven by increasing demand for advanced semiconductor manufacturing, smaller node sizes below 7nm, and rising investments in next-generation chip fabrication. The implementation of AI, 5G, HPC, and IoT applications spurred the demand for accurate and affordable lithography technologies.
Large semiconductor foundries such as TSMC, Samsung, and Intel spearheaded the implementation of high numerical aperture (High-NA) EUV scanners, improving pattern resolution and manufacturing yields for AI processors, DRAM, and NAND flash chips. The USA CHIPS Act and European Union semiconductor strategy underpinned regional production, though geopolitical tensions made supply chain reliability more urgent. High capital expense equipment, delicate optics servicing, and a short supply of EUV pellicles and photoresists hindered progress.
From 2025 to 2035, the EUV lithography industry will evolve with high-NA EUV systems, AI-optimized process control, and quantum-inspired computational models. The shift to sub-2nm and angstrom-scale nodes will increase transistor density and power efficiency for AI, HPC, and metaverse-based applications.
High-NA EUV lithography will enable single-patterning exposure to become feasible for sub-3nm nodes, enhance edge placement accuracy, and decrease manufacturing defects. Hybrid EUV and nanoimprint lithography will enable economically feasible specialty chip solutions. EUV-based heterogeneous integration and 3D packaging will facilitate highest-order signal integrity and chipset architectures. Sustainability will be provided by low power consumption by EUV, low CO2 emission, and environmentally friendly manufacturing initiatives.
A Comparative Market Shift Analysis 2020 to 2024 vs. 2025 to 2035
2020 to 2024 | 2025 to 2035 |
---|---|
Governments introduced semiconductor manufacturing policies to strengthen domestic chip production. | AI-assisted process regulations, sustainability mandates, and localization policies will shape future governance. |
High-NA EUV development, advanced EUV masks, and AI-driven process control improved semiconductor fabrication. | Quantum-enhanced computational lithography, hybrid EUV-nanoimprint techniques, and neuromorphic chip manufacturing will revolutionize lithography. |
EUV lithography was used for 7nm, 5nm, and 3nm logic and memory chip manufacturing. | Sub-2nm and angstrom-level node fabrication, 3D heterogeneous integration, and chiplet-based semiconductor packaging will expand EUV applications. |
Foundries used EUV scanners with AI-based defect detection and smart automation. | AI-based lithography robots, autonomous exposure systems, and quantum computing-based chip design will characterize next-generation semiconductor fabs. |
Ambitious EUV systems require high-power lasers and sophisticated optics. | AI-optimized EUV power efficiency, low-emission lithography tools, and green semiconductor fabrication programs will improve cost-effectiveness. |
AI-assisted mask design, defect forecast software, and real-time exposure adjustments improved lithography accuracy. | EUV simulation with the aid of quantum computing, real-time nanofabrication analytics, and AI-enhanced pre-emptive process optimization will revolutionize precision lithography. |
Supply chain interruption, component shortages, and equipment prices of EUV influenced accessibility. | AI-driven supply chain optimization, decentralized EUV component manufacturing, and blockchain-secured semiconductor logistics will improve production stability. |
Growth was driven by rising demand for AI, 5G, HPC, and IoT semiconductors. | AI-powered semiconductor design, autonomous process controls, and edge-AI chip solutions will drive growth. |
The extreme ultraviolet lithography (EUV) market is enjoying a period of very rapid ascent along with the semiconductor industry that advances toward the fabrication of more compact and powerful chips. Semiconductor manufacturers are the primary adopters, focusing on precision, efficiency, and cost reduction.
EUV technology provides the possibility for direct patterning of structures with sizes; besides nanotechnology, the only way to manufacture the advanced nodes is through extreme ultraviolet (EUV) projection lithography, which is high-resolution, vacuum lithography, and direct-write techniques.
The semiconductor industry is witnessing the highest adoption of EUV in the past years as the technology becomes more affordable and efficient. End-user industries that use semiconductor chips in smartphones, IoT devices, and computer products are equally important clients of the semiconductor industry.
Besides such applications, automation and autonomous vehicles, which are key parts of AI technology, are the two main domains where EUV is extensively used in the automotive and aerospace sectors. Though the initial cost and maintenance are high, EUV adoption is growing fast since it is the only way to implement upscalable, better chips and save electricity.
Contracts and Deals Analysis
Company | Contract Value (USD Million) |
---|---|
TSMC and ASML | Approximately USD 20,000-22,000 |
Intel and ASML | Approximately USD 383 |
Albany NanoTech Complex | USD 825 |
During 2024 and the beginning of 2025, the industry saw some important developments through big deals. TSMC's initiative to buy more than 60 EUV lithography tools from ASML marks its determination to enhance chip fab capabilities, involving an estimated range of USD 20 billion to USD 22 billion. Intel's acquisition of ASML's sophisticated "High NA" EUV equipment, priced at around USD 383 million per unit, reflects its commitment to leadership in more advanced chip technologies. Furthermore, the pledge of USD 825 million in federal funding to the Albany NanoTech campus represents a strategic effort to boost domestic research and development of EUV lithography. Collectively, these innovations represent a broader industry movement toward investing in advanced lithography technology to address the growing demand for sophisticated semiconductors.
The extremely high price of EUV lithography systems is a critical barrier. The technology is built with very costly components, specialized high-precision optics, and powerful lasers, making it one of the most expensive semiconductor manufacturing equipment. Therefore, the industry is accessible only to high-scale chip manufacturers.
Technical challenges are the factors that hinder the adoption. EUV machines require ultra-clean environments and precisely controlled conditions in order to operate without defects. The dual challenge of maintaining stable power sources and controlling light reflection can result in reduced productivity, as well as additional operational risks.
Bottlenecks within supply chains are behind the hindered growth. The production of EUV devices is highly dependent on the availability of in-demand materials, complex technology expertise, and few vendors-disruptions whether geopolitical or logistical can result in delays of the production schedule and unexpected expenses.
Regulatory and intellectual property are risks that every key player faces. Governments are highly concerned about the progress of semiconductors, which is a national security issue that could impose possible restrictions on exports. Also, patent litigations related to EUV technology can create legal barriers and financial burdens for manufacturers.
The EUV Lithography industry is one of the most technologically advanced fields, with LPP likely to account for approximately 72.5% of the share in 2025. LPP is preferred as a light source due to its higher energy efficiency, greater EUV photon output, and capability to achieve a smaller feature size in semiconductor production, among other advantages. LPP-based sources for EUV generation are led by companies such as ASML, Trumpf, and Gigaphoton, allowing chipmakers to produce next-gen logic and memory chips using low-node technologies. The rapid rise in the need for HPC, AI, and 5G technologies is accelerating the adoption of LPP-based EUV lithography systems.
Vacuum Sparks, on the other hand, are expected to make a 27.5% contribution to the shares for 2025; however, it is used in niche applications where precision and cost-effectiveness are the key measures. While Vacuum Spark sources have lower EUV power output than LPP, they will continue to be utilized in specialized semiconductor manufacturing processes where cost drivers and target wavelengths are more critical. Also, Vacuum Spark has become a subject of interest to smaller chip manufacturers and research institutions for low-volume production and experimental semiconductor technology.
As semiconductor scaling progresses, LPP technology is well positioned to lead with higher throughput, stability, and the ability to address consumer demand for a smaller, more efficient chip. However, Vacuum Sparks continues to provide an alternative solution at an affordable price point, especially for cost-sensitive applications and research-based semiconductor development.
Globally, light-emitting diode (LED) devices will account for the majority of the share, with a projected share of approximately 61.3% by 2025, owing to the growth of high-resolution display devices, demand for energy-efficient lighting and miniaturized optoelectronic components. Atomic Midas is based on Extreme Ultraviolet (EUV) lithography technology that patterns much higher-resolution features more accurately, thus allowing next-generation-sized microLED and OLED displays.
Therefore, firms such as Samsung, LG Display, and Osram are pouring billions of dollars into extreme ultraviolet (EUV), which will refine the efficiency, color accuracy, and durability of light-emitting diodes (LEDs) for consumer electronics, automotive lighting, and industrial purposes.
Microfluidics hold a 38.7% share of the EUV lithography industry in 2025 with applications in lab-on-a-chip technology, the development of biomedical diagnostics, and the process of drug discovery in the pharmaceutical industry. All these applications require advanced microfluidic channels, and with the power of EUV lithography, ultra-precise microfluidic channels could be fabricated for the very first time to develop high-performance biosensors, point-of-care diagnostic devices, drug delivery systems, etc. EUV-Enabled Biomedical Solutions: Firms such as Dolomite Microfluidics, Fluigent, and Bio-Rad Laboratories are using EUV technology to enhance fluid control, reaction efficiency, and miniaturization for biomedical applications.
With industries continuing the demands for smaller, more efficient, and highly functional components, there are even more applications when it comes to the use of lithography systems using extreme ultraviolet (EUV) light sources to push technology further for the improvement of LED and microfluidic research. These innovations are already reshaping consumer electronics as well as scientific instrumentation and healthcare, leading to huge growth in the coming years.
Country | CAGR (2025 to 2035) |
---|---|
The USA | 13.5% |
The UK | 10.2% |
France | 9.8% |
Germany | 11.7% |
Italy | 8.9% |
South Korea | 14.3% |
Japan | 12.5% |
China | 15.1% |
Australia | 7.6% |
New Zealand | 6.9% |
2025 to 2035 CAGR will be 13.5% because of the leadership of semiconductor stalwarts Intel, GlobalFoundries, and IBM. EUV lithography technology is dominated by the USA, which has massive R&D investments and collaboration with the Dutch vendor ASML. Local manufacturing is encouraged by government initiatives like the CHIPS Act to reduce reliance on overseas manufacturers.
The availability of large foundries and firm industry demand from customers like AI, 5G, and automotive supports the industry. Greater emphasis on the production of advanced nodes ensures that the USA is a key EUV adopter. The industry is emphasizing even more advanced lithography equipment, maximizing chip efficiency and performance, and driving industry growth.
CAGR 2025 to 2035 is 10.2% because of investment in research on semiconductors and focus on local chip manufacturing. UK is also headquartered to industry leaders like ARM Holdings, which propels global chip designs. With few local foundries, collaboration with other European and Asian manufacturers makes it a leading player.
Government spending on semiconducting technology and growing demand for computing performance drive the adoption of EUV lithography. National emphasis on AI, IoT, and data centers raises the stakes for cutting-edge chip manufacturing, fueling industry growth.
The CAGR would be 9.8% from 2025 to 2035 with the STMicroelectronics and Leti, top French-based semiconductor technology companies. France is the backbone of European semiconductor supply chains and benefits from unprecedented EU investments and strategic partnerships.
EUVA lithography uptake accelerates as demand from the automotive and telecom industries rises. France's focus on energy-efficient chip manufacturing expands its sales. Government efforts towards semiconductor sovereignty further boost industry development.
2025 to 2035 CAGR is 11.7%, with the country at the forefront of advanced semiconductor equipment and industrial applications. The adoption of EUV lithography is spearheaded by companies such as Infineon Technologies and Bosch, capitalizing on Germany's dominance in automobile chips and power electronics.
Automotive and Industry 4.0 growth drives EUV growth for semiconductors. Government schemes and global semiconductor player engagement boost Germany's technological superiority and allow it to remain one step ahead of the competition for EUV lithography.
2025 to 2035 CAGR is at 8.9%, powered primarily by increases in semiconductor R&D facilities and interaction with foundries in Europe. Italy gains benefit from having STMicroelectronics, which is heavily investing in EUV chip production.
Industrial automation and automotive segments drive demand. Italy's participation in the European Chips Act, as well as R&D investment, position it as a future player in the EUV lithography market.
2025 to 2035 CAGR is estimated at 14.3%, and it is headed by semiconductor leaders in the world, Samsung and SK Hynix. South Korea aggressively leads the development of EUV lithography capacity around memory chips and logic semiconductors. Government incentives and strategic investment in future-proof fabs drive growth.
The cutting-edge infrastructure of domestic manufacturing and ongoing innovation in DRAM and NAND flash technology drive its adoption leadership of EUV lithography.
2025 to 2035 CAGR is estimated at 12.5%, driven by semiconductor firms like Tokyo Electron and Canon. Japan dominates the manufacturing of EUV lithography equipment, offering base materials and machines to the world. The country's focus on semiconductor autonomy and AI-driven chip demand forms the growth prospect.
High-performance computing and automotive semiconductor applications further drive the use of EUV, leading Japan to become a key leader.
The 2025 to 2035 CAGR will be 15.1% to mirror China's ambitious pursuit of semiconductor autonomy. Pioneering companies like SMIC and Huawei propel the use of EUV lithography despite geopolitical constraints on essential technologies.
Large-scale government investments in semiconductor development will step up the country's local manufacturing capacity. The rising demands for AI, 5G, and cloud computing fuel the country's requirement for sophisticated lithography equipment, thus turning China into a high-growth market.
2025 to 2035 CAGR is forecast to be 7.6%, with the growth fueled by research programs from universities and up-and-coming semiconductor startups. The Australian semiconductor market is not big but sustains growth by teaming up with international businesses.
The country's focus on quantum computing and material sciences needs accurate lithography. Government funding for technology research and semiconductor manufacturing research prompts low market growth.
6.9% CAGR from 2025 to 2035 shows the nation's small but growing semiconductor market. New Zealand lacks giant-scale foundries but has research initiatives in photonics and nanotechnology.
Technology development is encouraged through collaboration with overseas semiconductor firms and universities. The nation's focus on AI chip design and specialized semiconductor applications ensures periodic enhancement in EUV lithography applications.
Extreme Ultraviolet or EUV lithography is regarded as a market with rapid growth propelled by the advancement in semiconductor technology and, hence, the demand for ever-densified transistors and next-generation computing applications. It plays a vital role in the making of small, power-efficient, high-performance chips, developments supporting AI, 5G, and high-performance computing without evading chip manufacturing.
Major players are ASML, Canon, Nikon, and Intel, focusing primarily on the improvement of the efficiency of EUV scanners, development of customized pellicles, and minimization of the defects. Some of the niche providers and startups add value through special optics, the optimization of light sources, and improvements in resist materials.
The market now has higher NA EUV systems and is growing to address yield challenges and cost efficiency. Companies within the space want to increase EUV scanner throughput, reduce stochastic defects, and enhance overlay accuracy to address the requirements of the semiconductor industry.
Some of the strategic drivers include supply chain resilience, R&D investments in all components of the EUV ecosystem, and collaboration between semiconductor manufacturers and equipment suppliers. With the ever-increasing complexity of chips, EUV lithography acts as a linchpin of technology advancement, further pushing the innovation pace of the semiconductor industry.
Market Share Analysis by Company
Company Name | Estimated Market Share (%) |
---|---|
ASML Holding NV | 75-80% |
Canon Inc. | 5-9% |
Nikon Corporation | 3-7% |
Intel Corporation (In-House) | 2-5% |
Other Companies (combined) | 5-10% |
Company Name | Key Offerings/Activities |
---|---|
ASML Holding NV | Develops EUV lithography scanners and light sources, leading in high-NA EUV advancements. |
Canon Inc. | Research alternative EUV lithography methods and nanoimprint lithography solutions. |
Nikon Corporation | Works on next-gen lithography solutions, including ArF immersion and EUV R&D. |
Intel Corporation (In-House) | Develops proprietary EUV solutions for semiconductor fabrication efficiency. |
Key Company Insights
ASML Holding NV (75-80%)
ASML commands the greatest share of the EUV lithography market by supplying high-NA EUV scanners to major semiconductor manufacturers: TSMC, Samsung, and Intel. The company is enhancing its machine throughput and defect control policies with an eye to greater efficiency and cost-effectiveness in chip manufacturing.
Canon Inc. (5-9%)
Canon is working on alternative lithography techniques, including nanoimprint lithography, which could serve as a sideline or could indeed compete in certain applications with EUV. The company is also looking at low-cost options for high-resolution lithography to support some niche semiconductor markets.
Nikon Corporation (3-7%)
Nikon concentrates on improving ArF immersion lithography while conducting research in next-generation EUV lithography. The company's work in precision optics and advanced metrology solutions is supportive of the entire semiconductor manufacturing ecosystem.
Intel Corporation (In-House) (2-5%)
Intel is funding research programs on its own EUV lithography to evaluate the best optimization for its semiconductor manufacturing processes. The plan is to introduce the implementation of EUV for its advanced node fabrication, with the aim of boosting transistor density and performance.
Other Key Players (5-10% Combined)
The Global EUV industry is projected to witness a CAGR of 9.2% between 2025 and 2035.
The Global EUV industry stood at USD 12.3 billion in 2025.
The Global EUV industry is anticipated to reach USD 28.5 billion by 2035 end.
Asia-Pacific is expected to record the highest CAGR, driven by semiconductor manufacturing expansion in China, South Korea, and Taiwan.
The key players operating in the Global EUV industry include Intel Corporation, Nikon Corporation, Canon Inc., IBM Corporation, ASML, Samsung Corporation, Tappan Photomasks Inc., and others.
The segmentation is into Laser Produced Plasmas, Vacuum Sparks, and Gas Discharges.
The segmentation is into Light Emitting Diodes (LEDs), Micro-Fluidics, Micro-Needles, and Biotechnology.
The report covers North America, Latin America, Europe, Asia Pacific, and The Middle East & Africa (MEA).
Remote Construction Market Analysis by Component, Application, End-use Industry and Region Through 2035
Security Inspection Market Insights – Trends & Forecast 2025 to 2035
Procurement as a Service Market Trends – Growth & Forecast 2025 to 2035
Massive Open Online Course Market Analysis – Growth, Trends & Forecast 2025 to 2035
Tactical Radios Market Analysis by Type, Application, and Region Through 2025 to 2035
Healthcare Virtual Assistants Market Analysis by Product, End User and Region Through 2035
Thank you!
You will receive an email from our Business Development Manager. Please be sure to check your SPAM/JUNK folder too.